Trường Đại Học Quốc Tế Miền Đông
Bạn có muốn phản ứng với tin nhắn này? Vui lòng đăng ký diễn đàn trong một vài cú nhấp chuột hoặc đăng nhập để tiếp tục.

EDA là gì?

Go down

EDA là gì? Empty EDA là gì?

Bài gửi  duonguitce Mon Dec 14, 2015 9:06 pm

Chào mọi người,

Hôm nay thegioivimach.com sẽ giới thiệu với các bạn cơ bản về CAD (Computer Aided Design - Thiết kế dựa trên máy tính) hay EDA (Electronic Design Automation - Tự động thiết kế điện tử). Đây là một thuật ngữ luôn luôn được nhắc đến trong ngành Thiết kế Vi mạch, đặc biệt là Thiết kế Vi mạch Số. Vì đối tượng mà thegioivimach.com hướng tới là Vi mạch Số, nên bài viết này sẽ tập trung vào các CAD tool (hay các EDA tool) dành cho Thiết kế Vi mạch Số.

1. EDA tools! Con át chủ bài?

Khi mà các mạch số ngày càng trở nên phức tạp cả về cấu trúc cũng như tính năng nhằm phục vụ nhu cầu ngày càng cao của con người, thì chi phí cho việc thiết kế bằng tay các mạch số trở nên tốn kém vì nó cần một lượng lớn Kỹ sư làm việc trong một khoảng thời gian dài, từ việc thiết kế, mô phỏng, cho đến layout mạch, và nếu trong bất kỳ khâu nào xảy ra lỗi thì chi phí cho việc truy vết để tìm nguyên nhân gây lỗi nhiều khi còn lớn hơn cả chi phí để thiết kế từ đầu. Đây là điều mà một doanh nghiệp không muốn phải đối mặt, chính vì thế các EDA tool đã được phát triển nhằm giúp doanh nghiệp tiết kiệm chi phí về tiền lương, giảm thời gian thiết kế, tăng tính năng của sản phẩm, cũng như tăng mức độ cạnh tranh trên thị trường.

Như vậy có thể hiểu rằng, việc ra đời các EDA tool xất phát từ mục đích lợi nhuận của các doanh nghiệp.

2. EDA tool dưới góc nhìn của người kỹ sư?

Tôi, và có lẽ có cả bạn nữa, những con người đam mê kỹ thuật, và chúng ta muốn biết các EDA tool đem lại lợi ích gì cho chúng ta ở khía cạnh chuyên môn (ở đây là kỹ thuật) chứ không phải ở khía cạnh lợi nhuận của các doanh nghiệp. Và sau đây thegioivimach.com sẽ liệt kê 4 lợi ích tiêu biểu nhất của các CAD tool:

- Giảm đáng kể thời gian thiết kế (thời gian tính từ thiết kế cho tới thời gian có bản layout hoàn chỉnh sẵn sàng cho việc chế tạo) cũng như thời gian quay vòng thiết kế (thời gian hao phí do phải lặp lại quy trình thiết kế do một số lý do như: phát hiện ra lỗi, đặc tả thay đổi, công nghệ thay đổi, thư viện thay đổi ....)
- Giúp quản lý các thiết kế phức tạp cả về tính năng và cấu trúc mạch
- Cho phép khám phá không gian thiết kế
- Giúp cải thiện các đặc tính thiết kế

3. EDA tool trong Thiết kế Vi mạch Số

Trong Thiết kế Vi mạch Số, có 4 bước sau đây cho thấy lợi ích to lớn của việc sử dụng các EDA tool:

- Simulation (mô phỏng): Phân tích một thiết kế ở nhiều mức trừu tượng khác nhau, chẳng hạn như device-level, gate-level, rtl-level, ...
- Synthesis (tổng hợp): Chuyển một thiết kế từ mức trừu tượng cao hơn (rtl-level) thành mức trừu tượng thấp hơn (gate-level hay còn gọi là nestlist)
- Verification (xác minh): Đảm bảo chức năng của thiết kế là chính xác và tuân theo các yêu cầu thiết kế
- Testing (kiểm tra sau khi đã chế tạo): Tạo ra các test-case nhằm kiểm tra tính chính xác của mạch sau khi đã chế tạo

Kết thúc bài EDA là gì?

Thân ái,

Mời các bạn xem và trao đổi về chủ đề CAD tool hay EDA tool tại: thegioivimach.com

duonguitce

Tổng số bài gửi : 6
Join date : 17/06/2015

Về Đầu Trang Go down

Về Đầu Trang


 
Permissions in this forum:
Bạn không có quyền trả lời bài viết